Module bitarrays


Functions

GetFreeSlot

Variables

_AVAILABLE_SLOT

[hide private]